コメント: VHDLに思う

初めまして、ソフト屋上がりのHDL屋です。
>U1.wire_inout
ですが、インスタンス間のポート接続は実デバイスのピン間を物理的な線でつなぐイメージです。
ソフトウェアで言うところのオブジェクトのプロパティとは全く異質なものです。
これは回路図でも他のハードウェア記述言語でも全く同じです。

テキストで書いているとソフトウェアを書いている感覚になりますが、あくまでも「物理構造」を文章で表現しているだけです。
ここを理解できるかどうかが、HDLのポイントですね。

投稿者 windy : November 29, 2006 10:57 PM

>windyさん
はじめまして、コメントありがとうございます。
U1.wire_inout <=> U2.wire_inout; みたいな記述ができたらなんとなくハッピーかなと思った次第です。仰るとおりワイヤは単なる線ではなく回路図の(名前付きの)ネットと考えれば非常に納得がいきますね。VHDL完熟までまだまだ先は長そうです(笑)

投稿者 fenrir : November 29, 2006 11:22 PM

確かにそんな書き方ができればずいぶん楽になりそうですね。
上位の階層ではモジュール間の接続だけになる事が多いのですが、大きなモジュール同士の接続になるとそのためだけに山のようなsignalが必要になります。
構造体のような仕組みもあるのですが、inとoutを一緒にできないのでいまいち使いづらいです。

個人的にVHDLの仕様で勘弁してほしいのはcomponent宣言です。
モジュールのI/Fを変更すると、必ず3箇所の変更が必要になります。
参考書では「モジュールの宣言部をコピペして…」なんて書かれていますがそれは違うのでは?という気がします。
実はcomponentが無くても良い方法があるのですが、教科書的には宜しくないようです。
僕はもうcomponent宣言は全く使わないで書いてしまっています。

投稿者 windy : November 30, 2006 12:42 AM

俺も宮田さんのを参考にして、RoboShellのサーボコントローラ部分を設計しました。
実装はプロ(まじなプロ)に任せてしまいましたが…。

がんばってください。

投稿者 織田 : November 30, 2006 11:14 AM

すでに指摘されているように、ポート接続が配線であることにあるとき気がついて、そんなものだと思うようにしています。
それより、componentを使うときにいちいち引数まで再宣言させられることにうんざりします。
use component Parts_Base;
でいいと思うのですが。

投稿者 酔漢 : November 30, 2006 12:24 PM

私も酔漢さんに同感です。なんでこんな何度もかかなきゃいけないのかよくわからんです。昔の都合を引きずっているんだとおもいますが、改正も入っているので直して欲しいと思います。VHDLは今の環境には不便を感じる面が多々ありますね・・・。

投稿者 まや : December 1, 2006 10:12 AM

>windyさん
はやく自分のコーディングスタイルを確立できるようになりたいです。そのうち現在作っているブツのコードを公開する予定ですが、素人感丸出しになりそうな予感です(笑)

>織田さん
応援ありがとうございます。Roboshellは標準化という点で非常に素晴らしい御計画だと思います。お互いに自分以外に使ってくださる方が増えると嬉しいですね。

>酔漢さん・まやさん
コメントありがとうございます。本当にVHDLの規格なんとかならないものですかね。かといってVHDLの資産がたくさんあるのでそれらを切って捨てるわけにも…、難しいです。

投稿者 fenrir : December 1, 2006 08:01 PM
コメントする









名前、アドレスを登録しますか?
(次回以降コメント入力が楽になります)
  • 匿名でのコメントは受け付けておりません。
  • 名前(ハンドル名可)とメールアドレスは必ず入力してください。
  • メールアドレスを表示されたくないときはURLも必ず記入してください。
  • コメント欄でHTMLタグは使用できません。
  • コメント本文に日本語(全角文字)がある程度多く含まれている必要があります。
  • コメント欄内のURLと思われる文字列は自動的にリンクに変換されます。