set_global_assignment -name IP_TOOL_NAME "MAX II/MAX V oscillator" set_global_assignment -name IP_TOOL_VERSION "11.0" set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "ufm_pack.vhd"]