library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.std_logic_arith.all; package usefuls is constant num_servo_observer : integer := 8; constant num_servo_driver : integer := 8; constant servo_resolution : integer := 12; --- find minimum number of bits required to --- represent N as an unsigned binary number --- function log2_ceil(N: natural) return positive; end; package body usefuls is --- find minimum number of bits required to --- represent N as an unsigned binary number --- function log2_ceil(N: natural) return positive is begin if N < 2 then return 1; else return 1 + log2_ceil(N/2); end if; end; end;