library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; entity pullup is port (net_out : out std_logic); end pullup; architecture Behavioral of pullup is begin net_out <= 'H'; end Behavioral; library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; entity pullup_bus is generic (width : positive := 8); port (net_out : out std_logic_vector(width-1 downto 0)); end pullup_bus; architecture Behavioral of pullup_bus is begin net_out <= (others => 'H'); end Behavioral;