library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; entity pulldown is port (net_out : out std_logic); end pulldown; architecture Behavioral of pulldown is begin net_out <= 'L'; end Behavioral; library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; entity pulldown_bus is generic (width : positive := 8); port (net_out : out std_logic_vector(width-1 downto 0)); end pulldown_bus; architecture Behavioral of pulldown_bus is begin net_out <= (others => 'L'); end Behavioral;